作业帮 > 综合 > 作业

谁能帮我设计一个检测电路.

来源:学生作业帮 编辑:百度作业网作业帮 分类:综合作业 时间:2024/06/03 19:04:35
谁能帮我设计一个检测电路.
试设计一个检测电路.该电路的输入是一位8421BCD码.当输入的8421BCD码所对应的十进制数符能被5整除时,输出为1,否则输出为0.用与非门实现之
谁能帮我设计一个检测电路.
被五整除的个位必须是5或0.
我们把四位BCD的四个位表示为abcd
a b c d
5=0101 0 1 0 1
0=0000 0 0 0 0
通过上表可以发现,要被五整除,a、c位必须都为0,b、d为必须相同
F=(a与非b)与(b同或d)