作业帮 > 综合 > 作业

关于Verilog always语句的问题

来源:学生作业帮 编辑:百度作业网作业帮 分类:综合作业 时间:2024/05/02 08:01:26
关于Verilog always语句的问题
比如说always@(a)begin
b=a+s;
c=a-s;end
是不是b和c在之前都要定义为reg型
关于Verilog always语句的问题
是的,在“alaways”模块内被赋值的每一个信号都必须定义成reg型
再问: 如果我这个b是中间变量 就是说我这个程序开头是module ABC (a,c) 那是不是我在always语句之前也要写一条reg b?
再答: module ABC(a,c)中a,c 是模块的端口名,它们应该进行I/O 声明,b属于内部信号,需要在alaways 块前进行内部信号声明,比如你想要的reg 型变量声明。